Class Central is learner-supported. When you buy through links on our site, we may earn an affiliate commission.

Udemy

VSD - Static Timing Analysis - I

via Udemy

Overview

VLSI - Essential timing checks

What you'll learn:
  • Understand various STA checks for timing closure
  • Able to do a quality analysis for real designs
  • Know-how on how real STA works in industries, something which you will not find in any books
  • Step-by-step and structured timing analysis

Static timing analysis comprises broadly for timing checks, constraints and library. Having all of them in a single course makes it bulky. So we decided to have it in 3 parts and this is part I - Essential timing checks. This course will give an eagle's eye to every timing check that is being performed in current industries for sign-off. This will also introduce you to basic terminologies for timing, which are needed for advanced courses on STA.

Timing comes at every step of physical design flow, but in this course, we primarily focus on signoff timing i.e. looking into each and every corner of design for any timing violations

The course starts from very basic and gradually takes you to an advanced level at an intermediate pace. So no questions on you missing any details

Hope you enjoy learning this course in the same way we enjoyed making them.

Happy Learning !!

Taught by

Kunal Ghosh

Reviews

4.2 rating at Udemy based on 2198 ratings

Start your review of VSD - Static Timing Analysis - I

Never Stop Learning.

Get personalized course recommendations, track subjects and courses with reminders, and more.

Someone learning on their laptop while sitting on the floor.